Sai Manoj P D

Assistant Professor

Books

Book Chapters

  • Amlan Ganguly, Sai Manoj P D, Abhishek Vashist, Andrew Keats and M Meraj Ahmed, Security Framework for On-Chip Wireless Interconnection Networks in Network-on-Chip Security and Privacy. Springer, 2021.
  • Hao Yu, Sai Manoj P D and Huang Hantao, Cognitive I/O for 3D-Integrated Many-Core System in Many core Computing: Hardware and Software, chapter 19. The IET, 2019.

Patents

Journal Publications

  1. Rakibul Hassan, Xingyu Meng, Kanad Basu and Sai Manoj P D, Circuit Topology-Aware Vaccination-Based Hardware Trojan Detection, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 42, no. 9, pp. 2852-2862, Sept. 2023.
  2. Thomas Mountford, Abhijitt Dhavlle, Andrew Tevebaugh, Naseef Mansoor, Sai Manoj P D, Amlan Ganguly, Address Obfuscation to Protect against Hardware Trojans in Network-on-Chips, Journal of Low Power Electronics and Applications. 2023; 13(3):50.
  3. Pavlos Zouridakis, Sai Manoj P D, Performance-and Energy-aware Gait-based User Authentication with Intermittent Computation for IoT devices, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023.
  4. Sreenitha Kasarapu, Sanket Shukla and Sai Manoj P D, Resource-and Workload-aware Model Parallelism-inspired Novel Malware Detection for IoT Devices, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023.
  5. Abhijitt Dhavlle, Meraj M. Ahmed, Naseef Mansoor, Kanad Basu, Amlan Ganguly and Sai Manoj P D, Defense against On-Chip Trojans Enabling Traffic Analysis Attacks based on Machine Learning and Data Augmentation, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023.
  6. Sayed Aresh Beheshti-Shirazi, Najmeh Nazari, Kevin Immanuel Gubbi, Banafsheh Saber Latibari, Setareh Rafatirad, Houman Homayoun, Avesta Sasan, Sai Manoj P D, Advanced Reinforcement Learning Solution for Clock Skew Engineering: Modified Q-Table Update Technique for Peak Current and IR Drop Minimization, in IEEE Access, vol. 11, pp. 87869-87886, 2023.
  7. Kevin Immanuel Gubbi, Banafsheh Saber Latibari, Anirudh Srikanth, Tyler Sheaves, Sayed Arash Beheshti-Shirazi, Sai Manoj P D, Satareh Rafatirad, Avesta Sasan, Houman Homayoun, and Soheil Salehi. 2023. Hardware Trojan Detection Using Machine Learning: A Tutorial. ACM Trans. Embed. Comput. Syst. 22, 3, Article 46 (May 2023).
  8. Purab Sutradhar, Sathwika Bavikadi, Sai Manoj P D, Mark Indovina, Amlan Ganguly, “3DL-PIM: A Look-up Table oriented Programmable Processing in Memory Architecture based on the 3-D Stacked Memory for Data-Intensive Applications”, in IEEE Transactions on Emerging Topics in Computing.
  9. Purab Ranjan Sutradhar, Sathwika Bavikadi, Mark Connolly, Sravankumar Prajapati, Mark A. Indovina, Sai Manoj P D, and Amlan Ganguly, Look-up-Table based Processing-in-Memory Architecture with Programmable Precision-Scaling for Deep Learning Applications, in IEEE Transactions on Parallel and Distributed Systems, 2021 (Impact Factor=2.6).
  10. Abhijitt Dhavlle, Setareh Rafatirad, Khaled Khasawneh, Houman Homayoun and Sai Manoj P D, Imitating Functional Operations for Mitigating Side-Channel Leakage, in IEEE Transactions on Computer-Aided Design, 2021 (Impact Factor=2.168).
  11. Sai Manoj P D, Self-Aware Power Management for Multi-core Microprocessors, in Sustainable Computing: Informatics and Systems, Volume 29, Part A, March 2021 (Impact Factor=2.798).
  12. M Meraj Ahmed, Amlan Ganguly, Abhishek Vashist, and Sai Manoj P D, AWARe-Wi: A jamming-aware reconfigurable wireless interconnection using adversarial learning for multichip systems, in Sustainable Computing: Informatics and Systems, Volume 29, Part A, March 2021 (Impact Factor=2.798).
  13. Purab Ranjan Sutradhar, Mark Connolly, Sathwika Bavikadi, Sai Manoj P D, Mark A. Indovina and Amlan Ganguly, pPIM: A Programmable Processor-in-Memory Architecture With Precision-Scaling for Deep Learning in IEEE Computer Architecture Letters, Volume 19, Issue 2, pages 118-121, July-Dec 2020 (Impact factor=1.690) Video.
  14. Sai Manoj P D, Xiaojie Guo, Hossein Sayadi, Cameron Nowzari, Avesta Sasan, Setareh Rafatirad, Liang Zhao and Houman Homayoun, Cognitive and Scalable Technique for Securing IoT Networks Against Malware Epidemics in IEEE Access, Volume 8, Issue 2, pages 138508-138528, July 2020 (Impact factor=3.745).
  15. Abhishek Vashist, Andrew Keats, Sai Manoj P D and Amlan Ganguly, Securing a Wireless Network-on-Chip against Jamming-based Denial-of-Service and Eavesdropping Attacks in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019 (Accepted, Impact factor=1.950).
  16. Sai Manoj P D, Arun Joseph, Anand Haridass, Muhammad Shafique, Joerg Henkel and Houman Homayoun, Application and Thermal Reliability-Aware Reinforcement Learning-based Multi-Core Power Management in ACM Transactions on Embedded Computing Systems (TECS), 2019 (Accepted, Impact factor=1.360).
  17. Sai Manoj P D, Axel Jantsch and Muhammad Shafique, Computer-aided Arrhythmia Diagnosis with Bio-signal Processing: A Survey of Trends and Techniques in ACM Computing Surveys, Volume 52, Issue 2, pages 23:1-23:37, May 2019 (Impact factor=6.131).
  18. Santiago Pagani, Sai Manoj P D, Axel Jantsch and Joerg Henkel, Machine Learning for Power, Energy, and Thermal Management on Multi-core Processors: A Survey in IEEE Transactions on Computer-Aided Systems of Integrated Circuits and Systems, 2018 (Accepted, Impact factor=2.168).
  19. Sai Manoj P D, Axel Jantsch and Muhammad Shafique, SmartDPM: Dynamic Power Management using Machine Learning for Multi-Core Microprocessors in American Journal of Low-Power Electronics, Volume 14, Issue 4, pages 460-474, December 2018 (Impact factor=0.840).
  20. Matthias Wess, Sai Manoj P D and Axel Jantsch, Weighted Quantization-Regularization in DNNs for Weight Memory Minimization towards HW Implementation in IEEE Transactions on Computer Aided Systems of Integrated Circuits and Systems, Volume 37, Issue 11, pages 2929-2939, November 2018 (Impact factor=2.168).
  21. Sai Manoj P D, Jie Lin, Shikai Zhu, Yingying Yin, Xu Liu, Xiwei Huang, Cheng Song, Wei Zhang, Mei Yan, Zhiyi Yu and Hao Yu, A Scalable Network-on-Chip Microprocessor with 2.5D Integrated Memory and Accelerator in IEEE Transactions on Circuits and Systems I: Regular Papers, Volume 64, Issue 6, pages 1432-1443, June 2017 (Impact factor=2.820).
  22. Dongjun Xu, Ningmei Yu, Hantao Huang, Sai Manoj P D and Hao Yu, Q-Learning based Voltage-Swing Tuning and Compensation for 2.5D Memory-Logic Integration in IEEE Design and Test, Volume 35, Issue 2, pages 91-99, April 2018 (Impact factor=1.538).
  23. Leibin Ni, Sai Manoj P D, Yang Song, Chenjie Gu and Hao Yu, A Zonotoped Macromodeling for Eye-Diagram Verification of High-Speed I/O Links with Jitter and Parameter Variations in IEEE Transactions on Computer Aided Systems of Integrated Circuits and Systems, Volume 35, Issue 6, pages 1040-1051, June 2016 (Impact factor=2.168).
  24. Dongjun Xu, Ningmei Yu, Sai Manoj P D, Kanwen Wang, Hao Yu and Mingbin Yu, A 2.5-D Memory-Logic Integration with Data-Pattern-aware Memory Controller in IEEE Design Test, Volume 32, Issue 4, pages 1-10, August 2015 (Impact factor=1.538).
  25. Sai Manoj P D, Hao Yu, Hantao Huang and Dongjun Xu, A Q-Learning based Self-Adaptive I/O Communication for 2.5D Integrated Many-Core Microprocessor and Memory in IEEE Transactions on Computers, Volume 65, Issue 4, pages 1185-1196, April 2016 (Impact factor=3.052).
  26. Sai Manoj P D, Hao Yu and Kanwen Wang, 3D Many-Core Microprocessor Power Management by Space-Time Multiplexing based Demand-Supply Matching in IEEE Transactions on Computers, Volume 64, Issue 11, pages 3022-3036, November 2015 (Impact factor=3.052).
  27. Yang Song, Hao Yu and Sai Manoj P D, Reachability-based Robustness Verification and Optimization of SRAM Dynamic Stability under Process Variations in IEEE Transactions on Computer Aided Systems of Integrated Circuits and Systems, Volume 33, Issue 4, pages 585-598, April 2014 (Impact factor=2.168).
  28. Sai Manoj P D, Hao Yu, Yang Shang, Chuan Seng Tan and Sung Kyu Lim, Reliable 3-D Clock-Tree Synthesis Considering Nonlinear Capacitive TSV Model with Electrical-Thermal-Mechanical Coupling in IEEE Transactions on Computer Aided Systems of Integrated Circuits and Systems, Volume 32, Issue 11, pages 1734-1747, November 2013 (Impact factor=2.168).

Conference Publications

  1. Sanket Shukla, Sai Manoj P D, Bring it On: Kinetic Energy Harvesting to Spark Machine Learning Computations in IoTs, IEEE International Symposium on Quality Electronic Design (ISQED), 2024.
  2. Sreenitha Kasarapu, Sanket Shukla and Sai Manoj P D, Resource- and Workload-aware Malware Detection through Distributed Computing in IoT Networks, Asia and South Pacific Design Automation Conference (ASP-DAC), 2024.
  3. Sreenitha Kasarapu, Sathwika Bavikadi, and Sai Manoj P D, Processing-in-Memory Architecture with Precision-Scaling for Malware Detection, in IEEE International Conference on VLSI Design (VLSID), 2024.
  4. Sathwika Bavikadi, Purab R. Sutradhar, Amlan Ganguly, and Sai Manoj P D, Reconfigurable Processing-in-Memory Architecture for Data Intensive Applications, in IEEE International Conference on VLSI Design (VLSID), 2024.
  5. Purab Ranjan Sutradhar, Sathwika Bavikadi, Mark Indovina, Sai Manoj P D, and Amlan Ganguly, FlutPIM: A Look-up Table-based Processing in Memory Architecture with Floating-point computation Support for Deep Learning Applications, in ACM Great Lakes Symposium on VLSI Design, 2023
  6. Raghul Saravanan, Shubham Rai, Akash Kumar, Sai Manoj P D, Reconfigurable FET Approximate Computing-Based Accelerator for Deep Learning Applications, in IEEE International Symposium on Circuits and Systems, 2023
  7. Sathwika Bavikadi, Purab Ranjan Sutradhar, Amlan Ganguly and Sai Manoj P D, Heterogeneous Multi-Functional Look-Up-Table-based Processing-in-Memory Architecture for Deep Learning Acceleration, IEEE International Symposium on Quality Electronic Design, 2023
  8. Katherine Mercado, Sathwika Bavikadi, Sai Manoj P D, Coarse-Grained High-speed Reconfigurable Array-based Approximate Accelerator for Deep Learning Applications, IEEE Conference on Information Sciences and Systems, 2023
  9. Sanket shukla, Setareh Rafatirad, Houman Homayoun and Sai Manoj P D, Federated Learning with Heterogeneous Models for On-device Malware Detection in IoT Networks, IEEE DATE 2023
  10. Shiyi Liu, Sathwika Bavikadi, Tanmoy Sen, Haiying Shen, Purab Ranjan Sutradhar, Amlan Ganguly, Sai Manoj P D, and Brian Smith, Accelerating Adversarial Attack using Process-in-Memory Architecture, IEEE International Conference on Mobility, Sensing, and Networking, 2023
  11. Rakibul Hassan, Setareh Rafatirad, Houman Homayoun, and Sai Manoj P D, Performance-aware Malware Epidemic Confinement in Large-Scale IoT Networks, in IEEE International Conference on Communications (ICC), 2021.
  12. Abhijitt Dhavlle, Rakibul Hassan, Manideep Mittapalli, and Sai Manoj P D, Design of Hardware Trojans and its Impact on CPS Systems: A Comprehensive Survey, in IEEE International Symposium on Circuits and Systems (ISCAS), 2021.
  13. Xingyu Meng, Rakibul Hassan, Sai Manoj P D, and Kanad Basu, Can Overclocking Detect Hardware Trojans?, in IEEE International Symposium on Circuits and Systems (ISCAS), 2021.
  14. M Meraj Ahmed, Abhijitt Dhavlle, Naseef Mansoor, Sai Manoj P D, Kanad Basu and Amlan Ganguly, What can a Remote Access Hardware Trojan do to a Network-on-Chip?, in IEEE International Symposium on Circuits and Systems (ISCAS), 2021.
  15. M Meraj Ahmed, Abhishek Vashist, Sai Manoj P D and Amlan Ganguly, Architecting a Secure Wireless Interconnect for Multichip Communication: An ML Approach in ACM Asian HOST, 2020.
  16. M Meraj Ahmed, Abhijitt Dhavlle, Naseef Mansoor, Purab Sutradhar, Sai Manoj P D, Kanad Basu and Amlan Ganguly, Defense against On-Chip Trojans Enabling Traffic Analysis Attacks in ACM Asian HOST, 2020.
  17. Abhijitt Dhavlle, and Sai Manoj P D, A Comprehensive Review of ML-based Time-Series and Signal Processing Techniques and their Hardware Implementations in IEEE International Green Sustainable Conference (IGSC), 2020.
  18. Sathwika Bavikadi, Purab Ranjan Sutradhar, Khaled N. Khasawneh, Amlan Ganguly and Sai Manoj P D, A Review of In-Memory Computing Architectures for Machine Learning Applications in ACM Great Lakes Symposium on VLSI (GLSVLSI), 2020.
  19. Claudio Canella, Sai Manoj P D, Daniel Gruss and Khaled N. Khasawneh, Evolution of Defenses against Transient-Execution Attacks in ACM Great Lakes Symposium on VLSI (GLSVLSI), 2020.
  20. Rakibul Hassan, Gaurav Kohle, Setareh Rafatirad, Houman Homayoun and Sai Manoj P D, SATConda: SAT to SAT-hard Clause Translator in ACM/EDAA/IEEE International Symposium on Quality Electronic Design (ISQED), 2020.
  21. Abhijitt Dhavlle, Raj Mehta, Setareh Rafatirad, Houman Homayoun and Sai Manoj P D, Entropy-Shield:Side-Channel Entropy Maximizationfor Timing-based Side-Channel Attacks in ACM/EDAA/IEEE International Symposium on Quality Electronic Design (ISQED), 2020.
  22. Zhiqian Chen, Gaurav Kolhe, Setareh Rafatirad, Chang-Tien Lu, Sai Manoj P D, Houman Homayoun and Liang Zhao Estimating the Circuit De-obfuscation Runtime based on Graph Deep Learning in ACM/EDAA/IEEE Design Automation and Test in Europe (DATE), 2020.
  23. Abhishek Vashist, Darshan Ramesh Bhanushali, Robert Relyea, Clark Hochgraf, Amlan Ganguly, Sai Manoj P D, Raymond Putcha, Andres Kwasinski and Michael E. Kuhl, Indoor Wireless Localization Using Consumer-Grade 60 GHz Equipment with Machine Learning for Intelligent Material Handling in International Conference on Consumer Electronics (ICCE), 2020 (Best paper award).
  24. Xiaojie Guo, Liang Zhao, Cameron Nowzari, Setareh Rafatirad, Houman Homayoun and Sai Manoj P D, Deep Multi-Attributed Graph Translation with Node-Edge Co-evolution in IEEE International Conference on Data Mining (ICDM), 2019 (Acceptance rate=9.08%, Best paper award).
  25. Martin Lechner, Axel Jantsch and Sai Manoj P D, ResCoNN: Resource-Efficient FPGA-Accelerated CNN for Traffic Sign Classification in IEEE International Green and Sustainable Computing Conference (IGSC), 2019 (Presented as a part of Energy-Efficient Machine Learning Workshop).
  26. Sanket Shukla, Gaurav Kohle, Sai Manoj P D and Setareh Rafatirad, Stealthy Malware Detection using RNN-based Automated Localized Feature Extraction and Classifier in IEEE International Conference on Tools with Artificial Intelligence (ICTAI), 2019.
  27. Sanket Shukla, Gaurav Kohle, Sai Manoj P D and Setareh Rafatirad, RNN-based Classifier to Detect Stealthy Malware using Localized Features and Complex Symbolic Sequence in IEEE International Conference on Machine Learning and Applications (ICMLA), 2019.
  28. Abhishek Vashist, Andrew Keats, Sai Manoj P D and Amlan Ganguly, Unified Testing and Security Framework for Wireless Network-on-Chip Enabled Multi-core Chips in ACM/IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2019.
  29. Abhijitt Dhavlle, Sahil Bhat, Setareh Rafatirad, Houman Homayoun and Sai Manoj P D, Sequence-Crafter: Side-Channel Entropy Minimization as a Defense for Timing-based Side-Channel Attack in ACM/IEEE International Conference on on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), 2019 (Work-in-Progress).
  30. Rakibul Hassan, Setareh Rafatirad, Houman Homayoun and Sai Manoj P D, SATConda: SAT to SAT-hard Clause Translator in ACM/IEEE International Conference on on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), 2019 (Work-in-Progress).
  31. Sanket Shukla, Gaurav Kohle, Sai Manoj P D and Setareh Rafatirad, Microarchitectural Events and Image Processing-based Hybrid Approach for Robust Malware Detection in ACM/IEEE International Conference on on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), 2019 (Work-in-Progress).
  32. Gaurav Kolhe, Hadi Mardani Kamali, Miklesh Naicker, Tyler David Sheaves, Hamid Mahmoodi, Sai Manoj P D, Houman Homayoun, Setareh Rafatirad and Avesta Sasan, Security and Complexity Analysis of LUT-based Obfuscation: From Blueprint to Reality in ACM/IEEE International Conference on Computer-Aided Design (ICCAD), 2019 (Best paper candidate).
  33. Hosein Mohammadi Makrani, Farnoud Farahmand, Hossein Sayadi, Sara Bondi, Sai Manoj P D, Liang Zhao, Avesta Sasan, Houman Homayoun and Setareh Rafatirad, Pyramid: Machine Learning Framework to Estimate the Optimal Timing and Resource Usage of a High-Level Synthesis Design in International Conference on Field-Programmable Logic and Applications (FPL), 2019.
  34. Abhishek Vashist, Andrew Keats, Sai Manoj P D and Amlan Ganguly, Securing a Wireless Network-on-Chip against Jamming-based Denial-of-Service Attacks in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2019.
  35. Gaurav Kolhe, Sai Manoj P D, Setareh Rafatirad, Hamid Mahmoodi, Avesta Sasan, and Houman Homayoun, On Custom LUT-based Obfuscation in ACM Great Lakes Symposium on VLSI (GLSVLSI), 2019 (Special session).
  36. Sai Manoj P D, Sairaj Amberkar, Sahil Bhat, Abhijitt Dhavlle, Hossein Sayadi, Avesta Sasan, Houman Homayoun and Setareh Rafatirad, Adversarial Attack on Microarchitectural Events-based Malware Detectors in ACM Design Automation Conference (DAC), 2019.
  37. MohammadKazem Taram, Dean Tullsen, Ashish Venkat, Hossein Sayadi, Han Wang, Sai Manoj P D and Houman Homayoun, Fast and Efficient Deployment of Security Defenses Via Context Sensitive Decoding in Government Microcircuit Applications & Critical Technology Conference (GOMACTech), 2019.
  38. Sai Manoj P D, Hossein Sayadi, Hosein Mohammadi Makrani, Cameron Nowzari, Setareh Rafatirad and Houman Homayoun, Lightweight Node-level Malware Detection and Network-level Malware Confinement in IoT Networks in ACM/EDAA/IEEE Design Automation and Test in Europe (DATE), 2019.
  39. Hossein Sayadi, Hosein Mohammadi Makrani, Sai Manoj P D, Tinoosh Mohsenin, Avesta Sasan, Setareh Rafatirad and Houman Homayoun, 2SMaRT: A Two-Stage Machine Learning-based Approach for Run-time Specialized Hardware-assisted Malware Detection in ACM/EDAA/IEEE Design Automation and Test in Europe (DATE), 2019.
  40. Sai Manoj P D, Sairaj Amberkar, Setareh Rafatirad and Houman Homayoun, Enhancing Adversarial Training towards Robust Machine Learners and its Analysis in ACM/IEEE International Conference on Computer-Aided Design (ICCAD), 2018 (Special session).
  41. Matthias Wess, Sai Manoj P D and Axel Jantsch, Weighted Quantization-Regularization in DNNs for Weight Memory Minimization towards HW Implementation in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2018.
  42. Ferdinand Brasser, Lucas Davi, Abhijitt Dhavlle, Tommaso Frassetto, Sai Manoj P D, Setareh Rafatirad, Ahmad-Reza Sadeghi, Avesta Sasan, Hossein Sayadi, Shaza Zeitouni and Houman Homayoun, Advances and Throwbacks in Hardware-Assisted Security in International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), 2018 (Special session).
  43. Hosein Mohammadi Makrani, Hossein Sayadi, Sai Manoj P D, Setareh Rafatirad and Houman Homayoun, A Comprehensive Memory Analysis of Data Intensive Workloads on Server Class Architecture in International Symposium on Memory Subsystems, 2018.
  44. Hosein Mohammadi Makrani, Hossein Sayadi, Sai Manoj P D, Setareh Rafatirad and Houman Homayoun, Compressive Sensing on Storage Data: An Effective Solution to Alleviate I/O Bottleneck in Data-Intensive Workloads in IEEE International Conference on Application-specific Systems, Architectures and Processors, 2018.
  45. Hossein Sayadi, Hosein Mohammadi Makrani, Onkar Randive, Sai Manoj P D, Setareh Rafatirad and Houman Homayoun, Customized Machine Learning-Based Hardware-Assisted Malware Detection in Embedded Devices in IEEE International Conference On Trust, Security And Privacy In Computing And Communications, 2018.
  46. Hossein Sayadi, Nisarg Patel, Sai Manoj P D, Avesta Sasan, Setareh Rafatirad and Houman Homayoun, Ensemble Learning for Effective Run-time Hardware-based Malware Detection: A Comprehensive Analysis and Classification in ACM/EDAA/IEEE Design Automation Conference, 2018.
  47. Hossein Sayadi, Sai Manoj P D, Amir Houmansadr, Setareh Rafatirad and Houman Homayoun, Comprehensive Assessment of Run-Time Hardware-Supported Malware Detection Using General and Ensemble Learning in ACM International Conference on Computing Frontiers, 2018.
  48. Sai Manoj P D and Axel Jantsch, ADDHard: Arrhythmia Detection with Digital Hardware by Learning ECG Signal in ACM Great Lakes Symposium on VLSI (GLSVLSI), 2018.
  49. Jakob Stangl, Thomas Loruenser and Sai Manoj P D, A Fast and Resource Efficient FPGA Implementation of Secret Sharing for Storage Applications in ACM/EDAA/IEEE Design Automation and Test in Europe (DATE), 2018 (Best paper candidate).
  50. Nima Taherinejad, Amir Shami and Sai Manoj P D, Self-aware Sensing and Attention-based Data Collection in Multi-Processor System-on-Chips in IEEE New Circuits and Systems (NEWCAS), 2017.
  51. Matthias Wess, Sai Manoj P D and Axel Jantsch, Neural Network based ECG Anomaly Detection on FPGA and Trade-off Analysis in International Symposium on Circuits and Systems (ISCAS), 2017.
  52. Nima Taherinejad, Sai Manoj P D, Michael Rathmair and Axel Jantsch, Fully Digital Write-in Scheme for Multi-Bit Memristive Storage in Conference on Electrical Engineering, Computing Science and Automatic Control, 2016.
  53. Nima Taherinejad, Sai Manoj P D and Axel Jantsch, Memristor's Potential for Multi-Bit Storage and Pattern Learning in European Modeling Symposium on Mathematical Modeling and Computer Simulation, 2015.
  54. Jie Lin, Shikai Zhu, Zhiyi Yu, Dongjun Xu, Sai Manoj P D and Hao Yu, Memristor's Potential for Multi-Bit Storage and Pattern Learning in IEEE Custom Integrated Circuits Conference (CICC), 2015.
  55. Sai Manoj P D, Kanwen Wang, Hantao Huang and Hao Yu, Smart I/Os: A Data-Pattern Aware 2.5D Interconnect with Space-Time Multiplexing in ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), 2015.
  56. Shunli Ma, Sai Manoj P D, Hao Yu, Junyan Ren and Roshan Weerasekera, A 9.8 Gbps, 6.5 mW Forwarded-clock Receiver with Phase Interpolator and Equalized Current Sampler in 65 nm CMOS in IEEE MTT-S International Microwave Symposium, 2015 (Student paper competition finalist).
  57. Sai Manoj P D, Hao Yu, Chenjie Gu and Cheng Zhuo, A Zonotoped Macromodeling for Reachability Verification of Eye-Diagram in High-Speed I/O Links with Jitter in ACM/IEEE International Conference on Computer-Aided Design (ICCAD), 2014.
  58. Hantao Huang, Sai Manoj P D, Dongjun Xu, Hao Yu and Zhigang Hao, Reinforcement Learning based Self-adaptive Voltage-swing Adjustment of 2.5D I/Os for Many-core Microprocessor and Memory Communication in ACM/IEEE International Conference on Computer-Aided Design (ICCAD), 2014.
  59. Dongjun Xu, Sai Manoj P D, Hantao Huang, Ningmei Yu and Hao Yu, An Energy-Efficient 2.5D Through-Silicon Interposer I/O with Self-adaptive Adjustment of Output-Voltage Swing in IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), 2014.
  60. Sih-Sian Wu, Kanwen Wang, Sai Manoj P D, Tsung-Yi Ho, Mingbin Yu and Hao Yu, A Thermal Resilient Integration of Many-core Microprocessors and Main Memory by 2.5D TSI I/Os in ACM/EDAA/IEEE Design Automation and Test in Europe (DATE), 2014.
  61. Yang Song, Sai Manoj P D and Hao Yu, Zonotope-based Nonlinear Model Order Reduction for Fast Performance Bound Analysis of Analog Circuits with Multiple-interval-valued Parameter Variations in ACM/EDAA/IEEE Design Automation and Test in Europe (DATE), 2014.
  62. Yang Song, Sai Manoj P D and Hao Yu, A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis in Asia and South Pacific Design Automation Conference (ASP-DAC), 2014.
  63. Jiacheng Wang, Shunli Ma, Sai Manoj P D, Mingbin Yu, Roshan Weerasekera and Hao Yu, High-speed and Low-power 2.5D I/O Circuits for Memory-Logic-Integration by Through-Silicon Interposer in IEEE International 3D Systems Integration Conference (3DIC), 2013.
  64. Sai Manoj P D, Kanwen Wang and Hao Yu, Peak Power Reduction and Workload Balancing by Space-Time Multiplexing based Demand-Supply Matching for 3D Thousand-Core Microprocessor in ACM Design Automation Conference (DAC), 2013.
  65. Yang Song, Hao Yu, Sai Manoj P D and Guyong Shi, SRAM Dynamic Stability verification by Reachability Analysis with Consideration of Threshold Voltage Variation in ACM International Symposium on International Symposium on Physical Design, 2013.
  66. Sai Manoj P D and Hao Yu, Cyber-Physical Management for Heterogeneously Integrated 3D Thousand-Core On-Chip Microprocessor in IEEE International Symposium on Circuits and Systems (ISCAS), 2013.

Pre-Prints (ArXiv)